私は Verilog を学ぼうとしている FPGA 初心者です。初期値または定数として、always ブロックの reg に値を「割り当てる」にはどうすればよいですか。以下のコードでこのようなことをしようとしています。8 ビット定数は入力としてカウントされないため、エラーが発生します。また、常にオフのクロックをトリガーしたくありません。レジスタを特定の値に割り当てたいだけです。合成可能にしたいので、初期ブロックを使用できません。どうもありがとう。
module top
(
input wire clk,
output wire [7:0] led
);
reg [7:0] data_reg ;
always @*
begin
data_reg = 8'b10101011;
end
assign led = data_reg;
endmodule