モジュールにクロック ジェネレーターを追加しましたが、シミュレーションが終了しません。
always #10 clk = ~clk;
initial
すべてのコードが完了した後、sim が終了しないのはなぜですか?
module test;
reg clk;
initial begin
clk = 0;
$display("Hello");
end
always #10 clk = ~clk;
endmodule
EDA Playground でのシミュレーション結果: http://www.edaplayground.com/s/4/15