2

クロック波形を EDA Playground に表示しようとすると、「実行が中断されたか、最大実行時間に達しました」というエラーが表示されます。波形を表示するにはどうすればよいですか?

EDA Playground のコード:

module test;
  reg clk;

  initial 
    begin
      $dumpfile("dump.vcd");
      $dumpvars(1);
      clk=0;
    end

  always
    begin
      #1 clk<=~clk;
    end

endmodule
4

1 に答える 1

3

$finishシムが無期限に実行され、サーバーによって強制終了されたため、そうではありませんでした。追加 #100 $finish; メインのテスト プログラムに追加すると、 EDA Playgroundで 50 クロックの例が得られます。

module test;
  reg clk;

  initial 
    begin
      $dumpfile("dump.vcd");
      $dumpvars(1);
      clk=0;
      #100 $finish; //<-- End simulation
    end

  always
    begin
      #1 clk<=~clk;
    end

endmodule
于 2014-11-05T05:15:34.660 に答える