VHDLのベストデザインプラクティスに関する質問。
ステートマシンを設計するとき、アーキテクチャ内で信号を使用するか、変数を使用する必要があります。私はこれまで変数を使用してきました。なぜなら、それらはプロセスに対して「ちょっと」プライベートであり、IMHOは、プロセスの外部からアクセスするべきではないため、理にかなっています。しかし、これは優れた設計手法ですか?
type state_type is (s0,s1);
signal state : state_type := s0;
A : process(clk)
begin
if rising_edge(clk) then
case state is
.....
end case;
end if;
end process;
--This process uses a variable
B : process(clk)
type state_type is (s0,s1);
variable state : state_type := s0;
begin
if rising_edge(clk) then
case state is
.....
end case;
end if;
end process;