このエラーは長い間私を悩ませてきました。どうすればよいかわかりません。他のコードでも同じエラーが出ますが、これは単純なものなので、何が問題なのかを見つけやすいかもしれません。
周波数セレクターです、スイッチ(clau)をONにすると周波数が変わります。
library IEEE;
use IEEE.numeric_bit.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity selector_frequencia is
Port ( unHz : in bit ;
centHz : in bit ;
Clock : out bit;
clau: in bit);
end selector_frequencia;
architecture Behavioral of selector_frequencia is
begin
if (clau = "0") then Clock <= unHz;
else Clock <= centHz;
end if;
end Behavioral;
そして、私が得るエラーはこれです:
ERROR:HDLParsers:164 - "C:/Documents and Settings/Administrador/Escritorio/practica_digital/practica_digital/selector_frequencia.vhdl" 行 23. 解析エラー、予期しない IF
ありがとうございました。