「2つの値がありstd_logic_vector
、偶数と奇数の最後のビットをチェックしたいので、両方でピクセル値の正確な位置を計算したいのですが、これはケースステートメントで可能ですか」.
X_cont : std_logic_vector(15 downto 0)
Y_cont : std_logic_vector(15 downto 0)
そしてLSBだけチェックしたい。
「2つの値がありstd_logic_vector
、偶数と奇数の最後のビットをチェックしたいので、両方でピクセル値の正確な位置を計算したいのですが、これはケースステートメントで可能ですか」.
X_cont : std_logic_vector(15 downto 0)
Y_cont : std_logic_vector(15 downto 0)
そしてLSBだけチェックしたい。
ケースを使用して両方のLSBをチェックすることは次のとおりです。
process(x_cont, y_cont)
type res_t is (both_low, one_low, none_low);
variable result: res_t;
variable lsbs: std_logic_vector(1 downto 0);
begin
lsbs:=x_cont(0) & y_cont(0);
case (lsbs) is
when "00" =>
result:=both_low;
when "01" | "10" =>
result:=one_low;
when others =>
result:=none_low;
end case;
end process;