1

http://verificationguild.com/modules.php?name=Forums&file=viewtopic&p=5019に従って、特定のシグナルの期間を確認しています。この方法は完全に機能しますが、シミュレーションの最後にポーズエッジ (アクティブ エッジ) によってアサーションがキックされると、基本的に失敗します。これは、次のエッジがないためです。

次の例を検討してください: テストベンチ クロックは 2MHz で実行され、更新クロック (私がアサーションを書いたもの) は特定のテストで 5Hz (周期 200ms) で実行されています。シミュレーションが 500 ミリ秒実行されているとします。時間 400 ミリ秒で開始されたアサーションは失敗します。

この状況を回避するにはどうすればよいですか?

4

1 に答える 1