VHDL で D フリップフロップに 1Hz クロックを実装しようとしています。
以下は私のコードです:
entity d_flip_flop is
Port ( clk : in STD_LOGIC;
D : in STD_LOGIC;
Q : out STD_LOGIC);
end d_flip_flop;
architecture Behavioral of d_flip_flop is
signal clk_div: std_logic; --divided clock
begin
--process to divide clock
clk_divider: process(clk) --clk is the clock port
variable clk_count: std_logic_vector(25 downto 0) := (others => '0');
begin
if clk'event and clk = '1' then
clk_count <= clk_count+1;
clk_div <= clk_count(25);
end if;
end process;
--main process
main:process(clk_div)
begin
if clk'event and clk = '1' then
Q <= D;
end if;
end process;
end Behavioral;
しかし、コンパイルしようとすると、次のエラーが報告されます。
ERROR:HDLParsers:808 - "F:/EE4218/XQ/d_flip_flop.vhd" 47 行目。+ このコンテキストでは、このようなオペランドを使用できません。
構文についていくつかのリファレンスを確認しましたが、問題はありませんでした。誰でもエラーの原因を指摘できますか?
前もって感謝します!