1

VHDL を使用して、50 MHz クロックを 50% のデューティ サイクルで 1.5 Mhz に分周する必要があります。そのために、カウンターを使用して、1.5 Mhz クロック周期の半分、つまり 16.6666 まで 50 Mhz クロック パルスの数をカウントしたいと考えました。誰でも私を助けてくれますか?

どうもありがとうございました。

4

2 に答える 2

1

あなたが提案しているアプローチは、もちろん問題ないかもしれない近似値になります。そうでない場合は、ターゲット テクノロジに固有の PLL またはクロック マネージャーをインスタンス化することをお勧めします。

于 2013-06-15T13:16:04.603 に答える