立ち上がりエッジでビットをセットし、クロック信号の立ち下がりエッジでそのビットをリセットする方法は? どうすれば同じことを達成できるか知りたいです。条件によっては、立ち上がりエッジで設定し、立ち下がりエッジでリセットしたい。出力でクロックパルス自体を取得するようなものです。
2 つの異なるクロック パルスを実装しましたが、このような不具合が発生しています。
同じための私のコードはこれです
process(clk)
begin
if rising_edge(clk) then
d0 <= new_data;
end if;
end process;
process(clk)
begin
if falling_edge(clk) then
d1 <= new_data;
end if;
end process;
out <= d0 when clk = '1' else d1;