Quartus が RAM を正常に認識し、RAM メガファンクションをインスタンス化するエンティティを作成しました。そのRAMをファイルから初期化できればいいのですが。そのようなファイル (.mif ファイル) を作成するためのチュートリアルを見つけました。そのファイルを作成したので、Quartus にそのモジュールを初期化させる方法がわかりません。どんな助けでも大歓迎です。
ここに私のRAMエンティティがあります:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity RAM is
port (
clk: in std_logic;
we: in std_logic;
data_in: in std_logic_vector (7 downto 0);
read_addr: in integer range 0 to 65535;
write_addr: in integer range 0 to 65535;
data_out: out std_logic_vector (7 downto 0)
);
end entity RAM;
architecture RAM_arch of RAM is
type memory is array (65535 downto 0) of std_logic_vector (7 downto 0);
signal content: memory;
begin
process(clk)
begin
if (RISING_EDGE(clk)) then
if (we = '1') then
content(write_addr) <= data_in;
end if;
data_out <= content(read_addr);
end if;
end process;
end architecture;