次のような単純なテスト ベンチを用意します。
entity tb is
end entity;
architecture syn of tb is
signal show : boolean;
begin
show <= TRUE after 10 ns;
end architecture;
ModelSim GUI では、「all.do」の Tcl スクリプトを使用してシミュレーションと波形を表示できます。
vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns
do all.do
ModelSim GUI コンソールのどこでライブラリを作成し、コンパイルし、tb モデルをロードし、波形を表示します。
Aldec Active-HDL シミュレーターで同様のシミュレーションを行うための同様の単純な Tcl スクリプトを作成するにはどうすればよいですか?