VHDL で 4 ビット マグニチュード コンパレータを作成し、同時実行ステートメントのみ (if/else または case/when なし) を作成する必要があります。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Exercise is
port ( A : in std_logic_vector (3 downto 0);
B : in std_logic_vector (3 downto 0);
Ag : out std_logic;
Bg : out std_logic;
AeqB: out std_logic
);
end Exercise;
architecture Comparator of Exercise is
begin
Ag <= '1'when (A>B) else '0';
Bg <= '1' when (B>A) else '0'; --Problem: Here if i sumulate B="ZZZZ", Bg is 1, asi if B>A
AeqB<= '1' when (A=B) else '0';
end Comparator;
問題は、std_logic の他のすべての値 (U、X、Z、W、L、H、-) をカウントする必要があることです。あることはわかっていますが、ステートメントothers
でコンパレータを作成する方法がわかりません。with/select
ありがとう