プロジェクトで BASYS 3 のクロックを使用したいと考えています。プロジェクトの制約を検索すると、次のコードが見つかりました。
set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
誰かが私にそれを説明できますか?クロックの入力であることはわかっclk
ていますが、最後の行で何をすればよいですか? 私は何かを変更する必要がありますか?また、期間10.00とは何をwaveform{0 5}
意味しますか?手伝っていただけませんか?