0

特定のパターン (このようなもの) で信号を高くしたり低くしたりできるようにしたいテストベンチを書いています:ここに画像の説明を入力 現在、毎回次のようにしたいものを手動で入力しています:

module TestExample;
reg a, b, c;

initial begin
    $dumpfile("test.vcd");
    $dumpvars(0, TestExample);

    # 0 a=0; b=0; c=0;
    # 10 a=1; b=0; c=0;
    # 20 a=0; b=1; c=0;
    # 30 a=1; b=1; c=0;
    # 40 a=0; b=0; c=1;
    # 50 a=1; b=0; c=1;
    # 60 a=0; b=1; c=1;
    # 70 a=1; b=1; c=1;
    # 80 a=0; b=0; c=0;

    # 90 $stop;
end
endmodule

これに関する問題は、より多くのシグナルを取得すると (ab ではなく az としましょう)、毎回手動で入力し、関連する値を入力するのに非常に長い時間がかかることです。このため、信号を自動化できる方法があるかどうか疑問に思っています。たとえば、a の場合は 10 u 秒ごと、b の場合は 20 u 秒ごと、c の場合は 30 u 秒ごとに状態を切り替えると言えますか?

4

2 に答える 2