マシンで WebPack を再び起動して実行し、単純なデザインを合成して FPGA にアップロードした後、理解にかなりの問題が発生しました。
ユーザー制約ファイルに次のような行がある場合:
NET "W1A<0>" LOC = "P18" ;
合成ソフトウェアは、VHDL コードによってこのピンがどのように割り当てられるかを正確にどのように判断しますか?
たとえば、提供された次のコード例を見てください。
entity Webpack_Quickstart is
Port (
W1A : out STD_LOGIC_VECTOR(15 downto 0);
rx : in STD_LOGIC;
tx : inout STD_LOGIC;
clk : in STD_LOGIC
);
end Webpack_Quickstart;
architecture Behavioral of Webpack_Quickstart is
signal counter : STD_LOGIC_VECTOR(47 downto 0) := (others => '0');
begin
W1A(0) <= '1';
end;
このコードはどのように正確WIA0
に FPGA のピンをオンにしますか? リンクは何ですか?エンティティ宣言のポートの名前だけですか?さらに魔法が関係していますか?