処理のために列ごとに選択する必要があるレコードの 2D 配列があります。次のように、列レコードを列配列にマーシャリングしています。
col_array(0) <= ( td_array(0)(0), td_array(1)(0), td_array(2)(0), td_array(3)(0) );
基本的に、配列の追加操作です。
このような配列がいくつかあります。これは for-generate ループで行うことができますか?
これは、配列の追加操作のように見えます。これどうやってやるの ?
補遺: 2D 配列の各レコードは次のようになります。
type foo is record:
enable : std_logic;
index : std_logic_vector(7 downto 0);
cmd : std_logic_vector(2 downto 0);
end record;
したがって、行と列の配置で次のようなインターフェイスを使用します。
30 31 32
20 21 22
10 11 12
00 01 02
レコード信号を列ごとに分割する必要があります (マルチプレクサを使用)。したがって、(00、10、20、30) は MUX の出力でアクセスされます。