VHDL を使用していますが、宿題を始める前に実行する必要がある次のサンプル コードの影響を受けていない波形がシミュレータでサポートされていません。私はオンラインで同じ波形 Z を渡すことができますが、影響を受けていないキーワードと同じ結果が得られるようにする方法がわかりません...どうすれば同じ結果が得られるように書き換えることができますか?
PS: 宿題の次の部分で、if-then-else ステートメントを使用して書き直す必要があります。その場合、次のキーワードを使用できることがわかっています。これは、宿題の前に実行する必要がある教科書のコードです。
ご協力いただきありがとうございます。
library IEEE;
use IEEE.std_logic_1164.all;
entity pr_encoder is
port ( S0, S1,S2,S3: in std_logic;
Z : out std_logic_vector (1 downto 0));
end entity pr_encoder;
architecture behavioral of pr_encoder is
begin
Z <= "00" after 5 ns when S0 = '1' else
"01" after 5 ns when S1 = '1' else
unaffected when S2 = '1' else
"11" after 5 ns when S3 = '1' else
"00" after 5 ns;
end architecture behavioral;
編集:行をコメントアウトすると、目的の結果が得られますか?