私は最近、学校向けのFPGAプロジェクトに取り組み始めましたが、これまでVHDLを使用したことがなかったため、プログラムをつなぎ合わせるために最善を尽くしました。全体として、私の目標は、ランダムに生成するprbsまたはLFSRを作成することです。私のvhdlコードはザイリンクスISEソフトウェアでチェックアウトし、テストベンチで正常に実行されますが、プロジェクトをボードにフラッシュし、オシロスコープをボード上のSMAコネクタの1つに接続する必要があります。私の質問は、出力をに転送するにはどうすればよいですか。 Spartan6ボード上の単一のSMAコネクタ
library IEEE;
use IEEE.std_logic_1164.all;
entity LFSR is
port (
clock : std_logic;
reset : std_logic;
data_out : out std_logic_vector(9 downto 0)
);
end LFSR;
architecture Behavioral of LFSR is
signal lfsr_reg : std_logic_vector(9 downto 0);
begin
process (clock)
variable lfsr_tap : std_logic;
begin
if clock'EVENT and clock='1' then
if reset = '1' then
lfsr_reg <= (others => '1');
else
lfsr_tap := lfsr_reg(6) xor lfsr_reg(9);
lfsr_reg <= lfsr_reg(8 downto 0) & lfsr_tap;
end if;
end if;
end process;
data_out <= lfsr_reg;
end Behavioral;
ここで、出力/出力をSMAコネクタに転送して、オシロスコープで結果を取得できるようにします。