2

私は最近、学校向けのFPGAプロジェクトに取り組み始めましたが、これまでVHDLを使用したことがなかったため、プログラムをつなぎ合わせるために最善を尽くしました。全体として、私の目標は、ランダムに生成するprbsまたはLFSRを作成することです。私のvhdlコードはザイリンクスISEソフトウェアでチェックアウトし、テストベンチで正常に実行されますが、プロジェクトをボードにフラッシュし、オシロスコープをボード上のSMAコネクタの1つに接続する必要があります。私の質問は、出力をに転送するにはどうすればよいですか。 Spartan6ボード上の単一のSMAコネクタ

library IEEE;
use IEEE.std_logic_1164.all;

entity LFSR is
port (
  clock    : std_logic;
  reset    : std_logic;
  data_out : out std_logic_vector(9 downto 0)
 );
 end LFSR;

 architecture Behavioral of LFSR is

 signal lfsr_reg : std_logic_vector(9 downto 0);

begin

 process (clock)
variable lfsr_tap : std_logic;
begin
if clock'EVENT and clock='1' then
  if reset = '1' then
    lfsr_reg <= (others => '1');
  else
    lfsr_tap := lfsr_reg(6) xor lfsr_reg(9);
    lfsr_reg <= lfsr_reg(8 downto 0) & lfsr_tap;
  end if;
end if;
 end process;

  data_out <= lfsr_reg;

end Behavioral;

ここで、出力/出力をSMAコネクタに転送して、オシロスコープで結果を取得できるようにします。

4

2 に答える 2

3

I/OをFPGAチップの実際のピンにマッピングする必要があります。これは、制約ファイル(通常は.ucf)で実行されます。このファイルは、手動で編集するか(テキストのみ)、ツールに処理させることができます。

新しいISEツールでは、PlanAheadがこれを担当します。ISEプロセスペインから開くことができます([ユーザー制約]-> [I / Oピン計画(PlanAhead)]-[合成後]を選択します)。

これにより、PlanAheadが開き、デザイン内のI / Oのリスト(、、およびclockresetが表示されますdata_out。次に、これらを正しいFPGAピンにマップする必要があります。ボードのドキュメントを調べて、クロック入力、プッシュボタン(リセット用)、およびSMAコネクタの場所を見つけてください。

PlanAheadが.ucfファイルを作成し、プロジェクトに追加する必要があります。その後、ISEエディターで編集できます。最初のコンテンツが含まれていると、それはかなり自明です。

また、ステップバイステップガイドについては、このザイリンクスガイド(100ページ以降)を確認してください。

于 2013-02-16T17:56:13.743 に答える
0

SMAコネクタは、バスではなく、単一の出力のみを保持できます。LFSRのMSBを表示するには、.ucfファイルに次の行を追加するだけです。

NET clock        LOC = $PIN; 
NET reset        LOC = $PIN; 
NET dataout<9>   LOC = $PIN; # your SMA output
NET dataout<8>   LOC = $PIN;
NET dataout<7>   LOC = $PIN;
NET dataout<6>   LOC = $PIN;
NET dataout<5>   LOC = $PIN;
NET dataout<4>   LOC = $PIN;
NET dataout<3>   LOC = $PIN;
NET dataout<2>   LOC = $PIN;
NET dataout<1>   LOC = $PIN;
NET dataout<0>   LOC = $PIN;

正しいピンについてはボードのドキュメント(または回路図)を参照し、.ucfファイルに正しいピン名を追加してください。データ出力の残りの出力にはいくつかのLEDを使用することをお勧めします。

于 2013-02-22T15:59:34.670 に答える