1

テストベンチを使用してモデルの VHDL シミュレーション時間を取得したいと考えています。私は ModelSim で作業しており、ModelSim のプロファイラーがパフォーマンス分析をサポートしていることを知っていますが、それは私が望む情報ではありません。

時間がかかるということは、シミュレーションのステップを意味するのではなく、コンピューター上でリアルタイムに実行することです。VHDL の文、または ModelSim またはその他のサードパーティ ツールのコマンドを探しています。

4

2 に答える 2

1

これを使用することもできます:

set sim_time [time {run -all}]

シミュレーションの時間がマイクロ秒単位で表示されます。

于 2016-03-18T20:01:56.367 に答える
1

Modelsim には tcl インタープリターが実行されているので、それを使用できます。

clock seconds関数はおそらく良いスタートです。最初と最後に値を保存し、それらを減算します。

TCL チュートリアルはここから始まります。

たとえば、(警告: 私は TCL の専門家ではありません。

set start [clock seconds]      # store the start time
run -all                       # run the simulation assuming it terminates when finished!
set finish [clock seconds]     # store the end time
puts [ expr {finish - start} ] # print out the difference
于 2013-05-15T15:52:52.720 に答える