ModelSim 10.1d で次の簡単なコードを実行すると、
program test;
initial begin
$display("hello world");
end
endprogram
私は見てError loading design
います。問題はここで再現できます: http://www.edaplayground.com/s/4/807
ModelSim が SV アサーション/カバレッジをサポートしていないことは知っていますが、program
ブロックはどうですか?