2

ModelSim 10.1d で次の簡単なコードを実行すると、

program test;

  initial begin
    $display("hello world");
  end

endprogram

私は見てError loading designいます。問題はここで再現できます: http://www.edaplayground.com/s/4/807

ModelSim が SV アサーション/カバレッジをサポートしていないことは知っていますが、programブロックはどうですか?

4

2 に答える 2

4

ModelSim は、Questa でサポートされている次の SystemVerilog コンストラクトをサポートしていません。

  1. プログラムブロック
  2. アサーション
  3. カバーグループ
  4. ランダム化()

programいずれにせよ、どのユーザーにもブロックを使用することはお勧めしません。http://go.mentor.com/programblocksを参照してください。

于 2014-01-06T03:49:41.347 に答える
2

どうやらそうではありません。サンプルからプログラム ブロックのインスタンスを追加して実行しようとすると、次のエラーが発生します。

# ** Fatal: (vsim-3904) SystemVerilog Program Blocks are not supported in Modelsim PE.

ここに投稿された更新済みの例: http://www.edaplayground.com/s/474/810

于 2014-01-06T01:33:05.253 に答える