以下のコードで OUTPUT std_logic_vector に値を割り当てようとしていますが、エラーが発生します
COMP96 ERROR COMP96_0143: 「オブジェクト "OUTPUT" を書き込めません。」"design.vhd" 20 18
COMP96 ERROR COMP96_0143: 「オブジェクト "OUTPUT" を書き込めません。」"design.vhd" 21 18
COMP96 ERROR COMP96_0143: 「オブジェクト "OUTPUT" を書き込めません。」"design.vhd" 22 18
COMP96 ERROR COMP96_0143: 「オブジェクト "OUTPUT" を書き込めません。」"design.vhd" 23 20
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity demux_1to4 is
port(
I : IN STD_LOGIC;
S: IN STD_LOGIC_VECTOR(1 downto 0);
OUTPUT: IN STD_LOGIC_VECTOR(3 downto 0)
);
end demux_1to4;
architecture bhv of demux_1to4 is
begin
process (I,S) is
begin
case(S) is
when "00" => OUTPUT <= "0001" ;
when "01" => OUTPUT<= "0010" ;
when "10" => OUTPUT<= "0100" ;
when others => OUTPUT<= "1000" ;
end case ;
end process;
end bhv;
私はどこで間違っていますか?