アルテラの Quartus パッケージを使用して FPGA プログラミングの学習を始めています。
以下を含むレガシーコードがあります。
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library floatfixlib;
use floatfixlib.fixed_pkg.all; --this is the VHDL93 implementation of the VHDL08 fixed point code from http://www.eda-stds.org/fphdl/
use work.ioarrays.all;
残念ながら、コメント内のリンクは現在無効になっています。
最初に次のエラーが発生しました。
Error (10481): VHDL Use Clause error at myvhdlfile.vhd(8): design library "floatfixlib" does not contain primary unit "fixed_pkg"
読み回した後、この回答を使用して、関連する ieee ライブラリ ファイル ( fixed_float_types_c.vhdl
、fixed_pkg_c.vhdl
、float_pkg_c.vhdl
) を ieee_proposed ライブラリに関連付け、コードを次のように変更しました。
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
use work.ioarrays.all;
その後、コンパイルされたように見えます。
ただし、これが正しいアプローチであるかどうかは確信が持てず、これらのライブラリの開発の背後にある高レベルのストーリーについての理解が不足しています。
したがって; ieee と floatfixlib vhdl ライブラリの関係を説明してください。それらの開発の理由、簡単なタイムライン、および現在のステータスの簡潔な説明が理想的です。