VHDLを使用してTフリップフロップをモデル化しようとしています。
library ieee;
use ieee.std_logic_1164.all;
entity tff is
port (
clk: std_logic;
t: in bit;
q: out bit;
qbar: out bit);
end tff;
architecture tff_arch of tff is
begin
process(clk)
begin
if (clk = '1' and t = '1')
then
q <= not q;
qbar <= not qbar;
end if;
end process;
end tff_arch;
しかし、私が得ているエラーは
Error: CSVHDL0168: tff.vhdl: (line 17): Identifier 'q' is not readable
Error: CSVHDL0168: tff.vhdl: (line 18): Identifier 'qbar' is not readable
私が思うエラーの理由は、qが初期化されていないときに「notq」を使用しているためです。私が間違っている場合は、ここで私を訂正してください。
そして、この問題を回避するために何をすべきでしょうか?Symphony EDAの無料バージョンを使用して、Dフリップフロップとそのテストベンチの波形を正しくモデル化しました。