1

Synopsys Synplify を使い始めました。Xilinx ISE プロジェクトをインポートします (完全に機能します)。

実行して受信してみてください-この行の「to_integerのオーバーロードが一致しません」

rgb(7 downto 0) <= color_lut(result_reg(to_integer(unsigned(x(2 downto 0))-1 )));

この作品を Synplify に採用するにはどうすればよいですか?

4

1 に答える 1

0

synplify では次のライブラリを使用できるはずです。

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.fixed_pkg.all;

実装オプション > VHDL タブで、「VHDL 2008」チェックボックスが有効になっていることを確認します。デフォルトでは有効になっていないと思います。これはあなたの問題かもしれません。

于 2011-11-28T18:32:13.623 に答える