問題タブ [ghdl]
For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.
vhdl - GHDL および VHDL - 実行可能ファイルへの入力
Windowsでは、コマンド「ghdl -e something」によって実行可能ファイルが作成されないことを知っています。Linuxでは作成されます。実行可能ファイルに入力ファイルを指定する必要があります。私がLinuxにいた場合、./something < input.inpでそれを行うことができますが、Windowsでどのように行うことができますか? 実行可能ファイルが作成されないためです。
vhdl - ghdl を使用して vhdl コードを実行/実行できない
Ubuntu 10.10 に (リポジトリから apt-get を使用して) ghdl をインストールし、ghdl マニュアルで入手できる hello_world の例を使用しました。分析 (-a) と精緻化 (-e) は正常に実行できますが、実行/実行 (-r) しようとすると、次のエラーが発生します:
/usr/lib/ghdl/bin/ghdl: コンパイル エラー
私の問題を詳しく説明するために、最後に vhdl コードと、以下に従う一連のコマンドについて言及しました。
$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: 許可が拒否されました
$ ghdl -r hello_world
/usr/lib/ghdl/bin/ghdl: コンパイル エラー
私もルートとして作業を試みましたが、成功しませんでした。アクセス許可は完璧で、実行ビットが設定されています。すべてがうまくいっているように見えますが、それでもうまくいきません。私はghdlパッケージを再インストールしようとしました。
誰かが問題の原因を教えてもらえますか?
前もって感謝します。
hello.vhdl (Vhdl コード)
-- ハローワールドプログラム。
std.textio.all を使用します。-- 標準の textio パッケージをインポートします。
-- ポートなしでデザイン エンティティを定義します。
エンティティ hello_world は
end hello_world です。
hello_world のアーキテクチャ動作は
begin
process
variable l : line; です。
begin
write (l, String'("Hello world!"));
writeline (出力、l);
待つ;
最終過程;
行動を終了します。
vhdl - Simple VHDL 4 to 1 MUX テストベンチがハングする
こんにちは、これは私が VHDL で書いた最初のコードです。これは、任意の幅のベクトルを取り込むことができる単純な 4 対 1 の MUX です。しかし、テストベンチを実行しようとすると、GHDL がハングアップします。私に似たテストベンチを見てきましたが、私のものがハングしている理由をまだ見つけられません。何か案は?
vhdl - ghdl パッケージ内のエンティティを作成する
VHDL プログラムがありますが、GHDL でエラボレートできません。これは、エラボレートするエンティティがパッケージ内にあるためです。GHDL を使用してパッケージ内のエンティティを作成するにはどうすればよいですか?
編集:
回答ありがとうございます。しばらくして、パッケージ内のコードはインターフェイスのようなものであり、このコンポーネントを自分で実装する必要があることがわかり、それが完全であると誤って想定しました。間違った質問で申し訳ありません。私は VHDL を初めて使用し、ロープを学んでおり、私の仮定が間違っていたため、Google で説明を見つけることができませんでした。
compilation - ghdl で .vhd をコンパイルするにはどうすればよいですか?
私の最初の質問: ghdl の下で vhdl ファイルをどのようにコンパイルするのだろうか?
c/c++ では、
- -エラー
- -Wunused-変数
- -未使用値
- -未使用機能
- -Wfloat-equal -Wall
.私の 2 番目の質問: これらのもので ghdl を使用できる方法はありますか?
vhdl - Mux 8:3 を使用した VHDL 全加算器
Mux8:3 を使用して全加算器を作成しようとしましたが、実行されません! 実行すると、コマンド ラインからのエラーは発生しませんが、ghdl が起動しません。誰かがこのコードを読んで、私に何ができるか教えてもらえますか?
そして今私のmake.bat
vhdl - これは Ghdl/gtkwave のバグですか?
Windows 7 で勉強に ghdl+gtkwave を使用しています。.bat ファイルでシェルを呼び出してシミュレーションを実行します。通常、何らかのシンタックス エラーが発生したときに、dos ウィンドウにメッセージが表示されますが、場合によっては表示されませんでした。エラーはありませんが、gtkwave は実行されません。
これはバグですか?
vhdl - D フリップフロップを備えた構造的な 4 ビット リング カウンター。VHDL/GHDL
構造的プログラミングでこれを行う方法がわかりません...
「4つのDフリップフロップで構成された4ビットのバイナリカウンタ(リセット信号付き)。」
イン/アウトを接続するには?
エンティティ宣言は次のとおりです。問題の核心は最後の行にあります。
私の問題は、この最後の行にあります。
signals - Modelsim と GHDL は vhdl ユーザー定義信号タイプを vcd にダンプできませんか?
modelsim または ghdl によって実行されたシミュレーションから内部信号をダンプしようとしています。以下を使用してすべてが正常に機能します。
modelsim の場合、vhdl ソースを追加し、すべてをコンパイルします。
GHDLの場合
シミュレーション信号を確認できますが、すべてではありません。として定義されたシグナル
vcd から除外されます。この動作は、modelsim と ghdl で共通です。
ghdl で生成された vcd に次の行が表示されます。
Modelsim はこれらの信号を静かに省略します
回避策はありますか? 別?
gtk - Windows 7 で GTK ウェーブ ビューアーをコンパイルするには?
Windows 7 での GTK Wave Viewer 3.3.35 のインストールとコンパイルのために、http: //www.cygwin.com/ から Cygwin をダウンロードしてインストールしました。また、GTK wave viewer 3.3.35 のガイドに記載されているように、MinGW をインストールし、その make アプリケーションを Cygwin にリンクして、Windows でソフトウェアをコンパイルしました。
Cygwin を介して GTK ウェーブ ビューアーを構成することはできますが、次のステップは作成することであり、それは私の場合には起こっていません。「missing seperator,stop」と表示されます。このエラーを取り除く方法が本当にわかりません。助けてください..
参考までに、VHDLコードのVhdlシミュレーション波形を観察するには、GHW形式のファイル(GHDLでVHDLファイルをコンパイルおよび実行した後に生成される)を実行するGtkウェーブビューアーが必要です。