問題タブ [ghdl]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票する
1 に答える
684 参照

vhdl - GHDLソースコードを再コンパイルする方法

ソースコードで特定のファイルを編集した後、GHDLソースコードを再コンパイルする手順を教えてください。前もって感謝します。よろしくラグー

0 投票する
1 に答える
870 参照

vhdl - ghdl 浮動小数点例外 8

バイト レーン書き込みを有効にして、32 ビット アドレスで SRAM をコーディングしようとしています。しかし、x1F より大きいアドレスにアクセス (読み取りまたは書き込み) しようとすると、GHDL でコンパイルすると「浮動小数点例外 8」が発生します。コードの一部を次に示します。

したがって、テストベンチでアドレスを x0000_001F 以下に設定するとコンパイルされますが、x0000_0020 以上を設定するとコンパイルされません。

0 投票する
1 に答える
1017 参照

windows - ghdl はバイナリを生成しません (Windows)

私は数ヶ月以来、研究のためにghdlを使用しています。

今、私はWindowsを使用することを余儀なくされ、そこでもghdlとgtkwaveを使用しようとしました.

私の問題は次のとおりです。ghdlをインストールした後、いくつかのコードをコンパイルしようとしました。と:

Linuxで使用したのと同じです。

ただし、work-obj93.cf を除いて ant 出力は生成されません。

私がリストした場合

すべてがうまくいくようです。エラーメッセージなしですべて実行されますが、バイナリなどは取得されません。

0 投票する
4 に答える
19793 参照

macos - ghdl を使用して Mac で VHDL プログラムをコンパイルして実行するにはどうすればよいですか?

簡単な VHDL プログラムを作成しました。ファイルを .vhd ファイルとして保存しました。それから私はそれをコンパイルしました

そして、ビルドされた実行可能ファイル

そして最後にそれを実行しようとしました

何が起こったのかというと、1work-obj93.cfつのファイルが表示され、他の 2 つのファイル、すなわち...が何らかの形で表示されないということです。

Mac で vhdl プログラムを正確にテストする方法を誰か教えてもらえますか? おそらくGTKWaveと組み合わせて。

0 投票する
2 に答える
2721 参照

vhdl - テストベンチの実行中に GHDL がハングする

VHDL で単純なゲーム オブ ライフの実装をテストすると、空のテストベンチの GHDL シミュレーションが、「テストの終了」メッセージを出力した後、100% の CPU 使用率でハングします。

コードは次のとおりです。

そしてテストベンチ:

0 投票する
2 に答える
3085 参照

vhdl - std_logic_vector として提供される配列のアドレス

a : in std_logic_vector(5 downto 0)アクセスアドレスを宣言したROMを構築しようとしています。私の問題は、std_logic_vector を使用して ROM 配列にアクセスする方法がわからないことです。整数へのキャストを使用する必要がありますか、それとも他に何ができますか?

私のコード:

示されているこのコードでは、次のエラーが発生します。

0 投票する
1 に答える
5364 参照

vhdl - VHDL パッケージ 'IEEE.std_logic_arith' は ghdl に同梱されていますか?

GHDL を使用して Xilinx GTXE2 トランシーバーをシミュレートしようとしています。GTXE2_CHANNEL.vhd で、「std_logic_arith」がライブラリ「ieee」に見つからないというエラーが発生しました。

まず、私のマシンのセットアップは次のとおりです。

  • Windows 7 (プロ、x64、ドイツ語)
    • パワーシェル 4.0
    • パイソン3.4
  • ザイリンクス ISE 14.7 (x64、英語)
  • ザイリンクス Vivado 2014.2 (x64、英語)
  • Windows 用 GHDL 0.31 ( http://sourceforge.net/projects/ghdl-updates/ )

質問 1:このパッケージは GHDL に含まれていますか?

VHDL 標準を VHDL-2008 に変更しようとしました--std=08が、このオプションは ghdl で認識されないため、現在 VHDL-2002 でコンパイルしています。しかし、何も変わりませんでした。

また、ザイリンクスの vhdl ソース フォルダーから手動で IEEE ソースを含めようとしましたC:\Xilinx\14.7\ISE_DS \ISE\vhdl\src\ieee。しかし、std_logic_arithをコンパイルすると、通常は見つかるstd_logic_1164が見つからないというエラーが表示されます。これに加えて、std_logic_1164の FOREIGN 属性に問題があります。

したがって、これは私のファイル リスト/コンパイル順序です (ザイリンクスの *.prj ファイルの修正バージョン)。

このリストは、一時ディレクトリで実行された GHDL コマンドに変換されます。

最後に、 ieee.std_logic_1164の FOREIGN 属性エラー:

質問 2: ieee.std_logic_1164 をコンパイルするにはどうすればよいですか?

GHDL 環境がインストールされている人なら誰でもこの例をテストできます。ザイリンクス ライブラリでは my_config_KC705.vhdl は必要ありません。


これの目的は何ですか?

  • iSim シミュレーションは非常に遅い -> 約 15 ns シミュレーション時間は 1 秒の CPU 時間で計算されます。100 us -> 40 分の待ち時間
  • iSim は約 2.6 GiB のメイン メモリを使用します - GHDL の方が倹約的かもしれません
  • xSim は VHDL ライブラリに不満を持っているため、iSim は現在の選択肢 #1 です。
  • 以前、ザイリンクス プリミティブを使用して GHDL をテストしたところ、うまくいきました。次の課題は、secureip コンポーネントをシミュレートすることです :)
  • 十分な時間があれば、QuestaSim も試してみます
0 投票する
1 に答える
1165 参照

arrays - これらのタイプは密接に関連しているべきではありませんか?

VHDL-2008 互換であるはずの次のファイルを解析しようとしています。

これは、密接に関連するタイプに関する実験であると想定されています。LRM によると、密接に関連するタイプには次の 2 つのケースがあります。

— 抽象数値型 — 抽象数値型は、他の抽象数値型と密接に関連しています。— 配列型 - 型が同じ次元を持ち、要素型が密接に関連している場合にのみ、2 つの配列型が密接に関連しています。

実数と整数が密接に関連していることは理解しています。それらの間の型変換(別名型キャスト)は正常に機能します。では、なぜ上記の配列型では機能しないのでしょうか?

GHDL は次のエラーを出します:

また、Modelsim Altera 10.1e (-2008 スイッチを使用) も優れていません。

徹底的にするために、一度に 1 つの要素で同じ操作を実行しようとしました。

そして、それは完璧に機能します。何か案は?